Jestem poczatkujacy w VHDL. Korzystam z zestawu uruchomieniowego ZL1PLD wydawnictwa BTC. Pisze prosty licznik ktory po nacisnieciu klawisza zwieksza swoja wartosc. Wartosc wyswietlana jest na wyswietlaczu LED. Oto kod:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity counter is port
(
plus: in bit;
w1: out std_logic_vector(6 downto 0)
);
end counter;
architecture operate of counter is
begin
DISPLAY: process
variable number: integer range 0 to 9;
begin
if(number=9) then
number := 0;
else
number := number + 1;
end if;
case (number) is
when 0 => w1 <= "1111110";
when 1 => w1 <= "0110000";
when 2 => w1 <= "1101101";
when 3 => w1 <= "1111001";
when 4 => w1 <= "0110011";
when 5 => w1 <= "1011011";
when 6 => w1 <= "1011111";
when 7 => w1 <= "1110000";
when 8 => w1 <= "1111111";
when 9 => w1 <= "1111011";
when others => w1 <= "1111111";
end case;
wait until plus='0';
end process;
end operate;
Cos tu jednak nie gra bo wartosci wyswietlane sa jak dla mnie nieco losowo

Z gory dzieki za odpowiedz
