Znaleziono 2 wyniki
- 27 lut 2007, o 23:02
- Forum: Nie(elektroniczny) Hyde Park
- Temat: tranzystor optyczny (inwenter optyczny)
- Odpowiedzi: 1
- Odsłony: 3888
tranzystor optyczny (inwenter optyczny)
Na początek trochę o laserze He-Ne: Między dwoma równolegle ustawionymi zwierciadłami Z0 i ZT tworzącymi rezonator optyczny umieszczona jest szklana, wyładowcza rura laserowa RL wypełniona mieszaniną helu i neonu. Oba końce rury zamykają płytki (okienka Brewstera) B, wykonane ze szkła optycznego i u...
- 27 lut 2007, o 22:29
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: VHDL - ukld liczący pierwiastek
- Odpowiedzi: 7
- Odsłony: 9475
witam ja napisalem cos takiego w VHDLu library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; --lib zawierająca funkcje konwersji entity pierwiastek is port (s_in: in std_logic_vector (15 downto 0); clk :in std_logic; s_out : out std_logic_vector(15...