Znaleziono 26 wyników
- 25 lut 2010, o 14:11
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: VHDL - 3 pytania
- Odpowiedzi: 4
- Odsłony: 5405
- 24 lut 2010, o 05:56
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: VHDL - 3 pytania
- Odpowiedzi: 4
- Odsłony: 5405
Chcę coś takiego uzyskać: entity projektos is port(z1, z2, z3, z4, z5, z6, z7 : in std_logic; wyjscie : out std_logic); end projektos; architecture .... pomijam deklaracje architetkury A1: process(z1, z5, z6, z7) variable wektor: std_logic_vector(3 DOWNTO 0) := (z1, z5, z6, z7); variable zmienna: st...
- 17 lut 2010, o 06:37
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: VHDL - 3 pytania
- Odpowiedzi: 4
- Odsłony: 5405
VHDL - 3 pytania
Mam 3 pytania z VHDLa. 1.) Czy można definiować w taki sposób zmienne: VARIABLE wektor: std_logic_vector(3 DOWNTO 0) := (z2, z5, z6, z7); gdzie z1-z7 to sygnały wejściowe zdefiniowane w jednostce projektowej. Pytam ponieważ po uruchomieniu Generate Post-Synthesis Simulation powyskakiwały mi komunika...
- 29 mar 2009, o 21:19
- Forum: Projektowanie PCB, programy EDA, CAD, narzędziowe
- Temat: nie działający interfejs I2c
- Odpowiedzi: 2
- Odsłony: 4521
nie działający interfejs I2c
Czemu nie działa mi I2c, widze to po linii SCL, cały czas do cholery jest czerwony ten kwadracik koło pinu SCL a powinien mrygać. Kod z Bascoma: $large $timeout $crystal = 11059200 $baud = 19200 Config Lcd = 16 * 2 Config Lcdpin = Pin , Db4 = P1.4 , Db5 = P1.5 , Db6 = P1.6 , Db7 = P1.7 , E = P1.3 , ...
- 29 mar 2009, o 11:35
- Forum: Projektowanie PCB, programy EDA, CAD, narzędziowe
- Temat: Nie działający deflcdchar
- Odpowiedzi: 1
- Odsłony: 3538
Nie działający deflcdchar
Czemu znak mi się nie wyświetla w symulatorze Bascoma-8051 LT: $sim Config Lcd = 40 * 2 Config Lcdpin = Pin , Db4 = P1.4 , Db5 = P1.5 , Db6 = P1.6 , Db7 = P1.7 , E = P1.3 , Rs = P1.2 Cls Deflcdchar 0 , 30 , 16 , 16 , 28 , 16 , 16 , 30 , 3 Cls Do Upperline Lcd "KOCHAM CI" ; Chr(0) ; "!!!" Lowerline L...
- 9 lut 2009, o 10:30
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
Tzn. przed ostatnimi zmianami czyli w środę nie chciało się zsymulować, bo tam nie dawałem zakresu dla sygnałów, zmiennych typu integer i miałem tablicę 2-wymiarową K, bo chciałem pamiętać wszystkie wygenerowane kombinacje oraz był ten błąd z tą tablicą A co przekracza granicę indeksowania a na któr...
- 6 lut 2009, o 13:39
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
Niestety nie przeszedł projekt, bo gość chce by na 4 wyświetlaczach to chulało jak k=4, na 3 wyświetlaczach jak k=3 itp aby jednocześnie wyświetlało kombinację i nie chce by pamiętać wszystkich kombinacji, tylko aktualną sekwencję dzięki czemu nie przekroczy się dostępnej pamięci na płytce. I zmodyf...
- 5 lut 2009, o 09:42
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
- 4 lut 2009, o 15:33
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
mam zadeklarowany taki typ: type tablica2 is array (1 to k_calk) of integer; gdzie k_calk to przy deklaracji entity jest ustawione na 3; generic( k_calk: integer :=3 ); A_temp to zmienna typu tablica2, a A to sygnał typu tablica2. S to sygnał typu integer. Całość wygląda tak: F0: for i in k_calk dow...
- 3 lut 2009, o 22:14
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
a jak mam taką pętle: for i zmienna to 10 loop A_temp(i) := s; end loop; to jak sobie z tym poradzić bo dałem tak, ale nie przechodzi post-synthesis: for i in 1 to 10 loop if(i>=zmienna)then A_temp(i) := s; end if; end loop; takie coś się wyświetla: INFO:Xst:1433 - Contents of array <A> may be acces...
- 1 lut 2009, o 22:30
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
Mój program, który przeszedł check syntax i powinien działać włącznie z pokazywaniem kombinacji na wyswietlaczu (co sekundę nowa pozycja w kombinacji się wyświetlać powinna): library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the ...
- 1 lut 2009, o 19:45
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
jak w tym beznadziejnym języku zapisać tablicę jednowymiarową mającą 15 elementów do tablicy dwuwymiarowej składającej się z np. 10 tablic 15 wymiarowych. Niech np.: X - tablica 1-wymiarowa K - tablica 2-wymiarowa Powinno jakoś tak się dać: K(4)=X; czyli po tej operacji powinniśmy mieć: K(4,1)=X(1),...
- 30 sty 2009, o 15:42
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
- 27 sty 2009, o 00:39
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
- 21 sty 2009, o 23:01
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
tak 2 razy tam występuje w tym algorytmie s=A(ind)+1. Jak warunek jest spełniony i jak warunek nie jest spełniony to mamy ind=ind-1 i s=A(ind)+1 potem. Zakres n i k no tak do 20 nie więcej. W każdym bądź razie wydaje mi się że poprawnie przeniosłem na vhdla, tylko jak wyeliminowac ten błąd podczas k...
- 18 sty 2009, o 12:42
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
To ma być równoległy generator kombinacji, ale według pewnego algorytmu napisanego w pseudokodzie (ja sam mam nie wymyślać tego równoległego generatora tylko zaimplementować to co poniżej): MAX=n-k+1; ind=1; s=1; //poniższa instrukcja for ma być wykonana równolegle for(i=ind;i<=k;i++) A(i)=s; //koni...
- 14 sty 2009, o 20:31
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
aha czyli chodzi o to, że nie można podstawiać w kliku procesach do tego samego sygnału mimo iż procesy niekoniecznie musza wykonywac się względems siebie równolegle, bo damy instrukcję if wewnątrz procesu i warunek równoległości w ten sposób eliminujemy. To mimo tego i tak wyświetla błąd. Niestety ...
- 8 sty 2009, o 16:55
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
- 7 sty 2009, o 21:00
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
Bardzo mi pomogłeś, teraz jedynie wyświetlają mi się 2 typy błędów: 1.)np. if((ster = '2')and(start='1'))then can not have such operands in this context. 2.) pisze do prawie keżdego wewnętrznego sygnału w procesach, że np. Signal ster has a multi source. np w procesie P1 oraz jeszcze 1 mały problemi...
- 7 sty 2009, o 01:11
- Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
- Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
- Odpowiedzi: 29
- Odsłony: 20966
znaczy na bank te parsery powstały przy tych fragmentach, linijkach co wyżej bo okienko takie na dole jest i sie pokazuje coś w stylu linijka 68 parser error itd. Także jeśli o to chodzi to no nie trzeba całego kodu pokazywać, no ale no dobra zamieszcze. library IEEE; use IEEE.STD_LOGIC_1164.ALL; us...